Comet 3D công khai
[search 0]
Thêm
Download the App!
show episodes
 
Artwork

1
3D InCites Podcast

Francoise von Trapp

Unsubscribe
Unsubscribe
Hàng tháng+
 
As a semiconductor industry community, 3D InCites brings to life the people, the personalities, and the minds behind heterogeneous integration and related technologies in a uniquely personal way. The goal is to inform key decision-makers about progress in technology development, design, standards, infrastructure, and implementation.The 3D InCites Podcast provides a forum for our community members to discuss all kinds of topics that are important to running a business in the semiconductor ind ...
  continue reading
 
Artwork

1
Impact Winter

Audible Originals

Unsubscribe
Unsubscribe
Hàng tháng
 
“They came after the impact and the firestorms. When the sun went dark. Like they’d been there all along. Just waiting.” From executive producers of The Walking Dead and Travis Beacham, the writer of Pacific Rim, comes a heart-stopping Audible Original featuring a brilliant British cast. It’s the near future and seven years since a comet hit the earth and blotted out the sun. The world is a dark, frozen landscape. And then, beastly creatures emerge and take over. Can they really be vampires? ...
  continue reading
 
Loading …
show series
 
Send us a text In this episode, Francoise von Trapp speaks with Isabella Drolz from Comet about how AI is revolutionizing semiconductor inspection strategies, particularly in 3D X-ray systems. They discuss how AI is being used to find structural defects in advanced chip packages, and also how 3D inspection is being used to develop advanced AI techn…
  continue reading
 
Send us a text At IMAPS Symposium 2024, the annual IMAPS Society Awards were presented. These awards recognize IMAPS members who stand out for their efforts. They are peer-nominated awards and selected by a panel of previous winners, so it’s very special to receive one, as it means many members have noticed their efforts. In this episode, Françoise…
  continue reading
 
Send us a text This episode was recorded live at the IMAPS International Symposium in Boston MA. Françoise von Trapp speaks with Dev Palmer, director of the National Advanced Packaging Manufacturing Program; Sandeep Sane of Lightmatter; Craig Bishop, Deca and Brett Wilkerson, AMD. Dev Palmer explains Chips Act's funding distribution and its impact …
  continue reading
 
Send us a text In this episode, Françoise von Trapp speaks with Chee Ping Lee, of Lam Research, about the critical role of high bandwidth memory (HBM) in generative AI, emphasizing its high bandwidth and compact design. HBM memory has received a lot of attention as one of the first technologies to implement 2.5D and 3D stacking. Lee explains how HB…
  continue reading
 
Send us a text In this episode, Francoise speaks with Simon McElrea, an interconnectologist, about his career in and the evolution of interconnectology, emphasizing its importance in semiconductor packaging. McElrea discusses his roles at semiconductor start-ups like Vertical Circuits and Invensas; his foray into wireless charging at Energous and F…
  continue reading
 
Send us a text In this episode, Françoise von Trapp talks with Onto Innovation’s Monita Pau and Jiangtao Hu about metrology for advanced packaging – why do we need it? What are the challenges, and how do we solve them? In semiconductor manufacturing front-end processes, metrology has always been a critical step to ensure consistency of very fine fe…
  continue reading
 
Hope, Penelope, and Lydia reach an inn at the outskirts of the vampire capital. As Penelope investigates the arrival of someone from her deep past, and guards from the capital close in on their location. See Privacy Policy at https://art19.com/privacy and California Privacy Notice at https://art19.com/privacy#do-not-sell-my-info.…
  continue reading
 
Send us a text In this episode, Tarak Railkar and Benson Chan join Françoise von Trapp for a preview of The IMAPS International Symposium 2024, which takes place in Boston from September 30 to October 3, 2024. This year's symposium focuses on heterogeneous integration for paradigm-shifting microelectronics and photonics. It will feature a five-trac…
  continue reading
 
Send us a text In this episode, Françoise von Trapp speaks with Bruce Kim, of SurplusGLOBAL, for an update on the semiconductor secondary equipment market, and how the US. and European Chips Acts are impacting it. They also discuss the trend of top-tier OEMS prioritizing the development of innovative technology rather than investing in legacy tools…
  continue reading
 
Send us a text In this episode, Françoise von Trapp and underfill materials expert, Dick Jensen, NAMICS corporation explore the societal and technological challenges that impact microelectronic materials development. The conversation focuses on the evolution of underfill materials development over the past 30 years. They discuss the importance of u…
  continue reading
 
Send us a text This episode was recorded live at SEMICON West 2024 – SEMI’s flagship tradeshow where the global industry gathers to showcase its latest products and technologies; and discuss topics critical to the industry’s growth. Two of these continue to be Sustainability and Workforce Development. Today’s guests will address both these topics. …
  continue reading
 
On their way to Dubobnik, Hope, Lydia, and Penelope are shipwrecked on a small, craggy island off Guernsey. They take shelter in an old monastery, but are surprised to find the island crawling with Blighters. See Privacy Policy at https://art19.com/privacy and California Privacy Notice at https://art19.com/privacy#do-not-sell-my-info.…
  continue reading
 
Send us a text For this 3D InCites Podcast Extra, Françoise von Trapp traveled to Morrisville, North Carolina, to witness Foundry 2.0 in action at NHanced Semiconductors. She speaks with founder and CEO Bob Patti about his vision for the company, which is based on implementing the Foundry 2.0 business model he conceptualized. It involves sourcing d…
  continue reading
 
Send us a text The semiconductor industry supply chain continues to capture attention as general awareness about the importance of semiconductors in our everyday lives grows. The news of global expansion and ongoing delays of new fabs coming online is constant. But have you ever stopped to think how complicated it is to outfit a fab with its highly…
  continue reading
 
Send us a text This week’s episode was recorded live at SEMICON West where 10 of our community members have news to share. The conversation focused on the latest advancements in semiconductor technology, including lithography, packaging, and materials. Speakers discussed the need to reduce power consumption in AI chips, the potential of using inter…
  continue reading
 
Send us a text Recorded live at SEMICON West 2024, SEMI’s flagship tradeshow where the global semiconductor industry gathers to discuss important matters and showcase new products and technology. This episode features Françoise von Trapp’s conversation with Jose Fernandez, Under Secretary of Economic Growth, Energy, and The Environment for the Depa…
  continue reading
 
Send us a text Recorded live at SEMICON West 2024, the flagship tradeshow for SEMI, where the entire industry gathers to showcase their latest products and technologies. Françoise von Trapp interviews Laurie Locascio, Director of NIST and the Under Secretary of Commerce for Standards and Technology to learn more about the US CHIPS and Science Act, …
  continue reading
 
Hope is questioned by Gussie after a disturbing incident involving Lydia. Meanwhile, dire news about an ever-worsening storm spell trouble for Locryn Castle, and potentially all of humanity. See Privacy Policy at https://art19.com/privacy and California Privacy Notice at https://art19.com/privacy#do-not-sell-my-info.…
  continue reading
 
Send us a text Françoise von Trapp and AJ Incorvaia discuss the evolution of EDA tools for 3D integration and design tools for 3D ICs and packaging. They talk about the need for real-time 3D visualization and automation capabilities to enable the design and manufacturing of complex 3D ICs. They also highlighted the growing importance of digital twi…
  continue reading
 
Send us a text In this episode, Françoise von Trapp speaks with Christine King, the world’s first woman CEO of a semiconductor company, about her journey from near destitution to success in a male-dominated industry. Christine recently published a memoir about her journey, called Breaking Through the Silicon Ceiling, which details her journey and p…
  continue reading
 
Send us a text Saxony, Germany, has a strong potential to become a global semiconductor location due to its long history in traditional industries, technological base, vast talent pool, strategic location, and investment in education and new talent. In this episode, Françoise von Trapp speaks with Andreas Lippert, of Saxony Trade and Invest, and Ja…
  continue reading
 
Send us a text Françoise von Trapp talks with ECTC 2024 General Chair, Karlheinz Bock and Program Chair, Michael Mayer about highlights and key takeaways from the 2024 IEEE Electronic Component Technology Conference. (ECTC 2024). Bock and Mayer discussed the growth of ECTC 2024, and how focusing on strategic decisions such as changing the format an…
  continue reading
 
Send us a text This episode features conversations at ECTC 2024 with 11 of 3D InCites community members. You’ll learn about their perspectives on the hottest topics of the times such as thermal management and power issues for AI devices, and glass core substrates versus organic substrates. You’ll also learn about their latest technology advancement…
  continue reading
 
Send us a text Recorded live at the 2024 Electronic Component Technology Conference, this episode features conversations Francoise von Trapp has with Keynote Speaker Keren Bergman, Columbia University and co-founder of Xscape Photonics, and Chet Lennox, of KLA. Bergman explains the potential of photonics in AI applications to improve energy efficie…
  continue reading
 
Send us a text In this preview episode for SEMICON West 2024, Françoise von Trapp and SEMI America’s President Joe Stockunas talk about SEMICON West's Past, Present, and Future. The discussion emphasizes the need for collaboration in the semiconductor industry and the important role SEMI plays in supporting the interests of its global members. Joe …
  continue reading
 
Send us a text Glass has long been explored as an alternative substrate material to organic laminates and silicon. As high-performance computing and chiplets HPC push the boundaries of existing technology, they are demanding innovative packaging solutions, beginning with innovative substrate materials. This is paving the way for glass. In this epis…
  continue reading
 
Send us a text This episode features the winners of this year’s 3D InCites Awards. These included five technology enablement awards, one sustainability award, the Adele Hars Award for DEI, and an award for Best Place to Work. Nils Anspach, of LPKF & Laser Electronics, explains the company’s laser-based deep etching technology for glass substrates i…
  continue reading
 
Send us a text This episode was recorded live at the IMAPS Device Packaging Conference – helping celebrate the event’s 20th year. The record turnout included many of our 3D InCites Community members. Françoise von Trapp spoke with several of them who were exhibiting and presenting, and in some cases, simply attending. Alex Ospina of ACM Research di…
  continue reading
 
Send us a text This episode was recorded live at IMAPS DPC, where the Spring version of the Global Business Council focused on Geopolitics Fueling the Repatriation of the Semiconductor Ecosystem. To get the complete picture of the collaboration happening, Françoise von Trapp speaks with representatives of the government, industry, and academia. Dan…
  continue reading
 
Send us a text This episode was recorded live at the IMAPS Device Packaging Conference in Fountain Hill AZ, where several of the keynote talks focused on chiplet architectures and heterogeneous integration for semiconductor device manufacturing, assembly, test, and packaging. Françoise von Trapp talks with Arvind Kumar, of 3D InCites member company…
  continue reading
 
Send us a text In this episode, recorded live at SEMI ISS Europe, Françoise von Trapp hands over the mic to SEMI Europe President, Laith Altimime, who leads a discussion on the European chip industry’s growth and challenges, and whether the European Chips Act will strengthen Europe towards its 20% vision goal. Panelists include: Manfred Horstmann, …
  continue reading
 
Send us a text This week’s episode was recorded at ISS Europe, in Vienna, where the European semiconductor industries key strategists gathered to plan the path forward and forge a sustainable path to securing 20% of the global semiconductor market. One of the main topics continues to be building a resilient semiconductor supply chain. In the first …
  continue reading
 
Send us a text In honor of International Women’s Day (IWD 2024), 3D InCites partnered with SEMI ISS to bring you this episode on how companies are fostering and implementing DEIB and allyship into their corporate culture. Françoise von Trapp speaks with Nigel Wenden, CEO of WGNSTAR, Laura Matz, CTO of Merck KGAA Darmstadt Germany; and Mike Rosa, CM…
  continue reading
 
Send us a text The International Microelectronics and Packaging Society’s Device Packaging Conference (IMAPS DPC) celebrates its 20th year this year. The conference takes place March 19-21, in Fountain Hills, AZ. In this episode, Françoise von Trapp speaks with Scott Hayes, General Chair, IMAPS DPC, and Amy Lujan, General Chair-Elect of IMAPS DPC a…
  continue reading
 
Send us a text In this episode, Françoise von Trapp and Jean Christophe Eloy of Yole Group, discuss the future of advanced packaging and chiplets in the semiconductor industry, and how these technologies will revolutionize the industry. Get ready for a deep dive into a technology discussion. From Jean-Christophe, you’ll learn how chiplets differ fr…
  continue reading
 
Send us a text Françoise von Trapp and SEMI Europe's Laithe Altimime discuss the status of the European Chips Act, which aims to double Europe's market share in global manufacturing by 2030 and increase chip production by 20%. The discussion focuses on European industry growth and resilience, and the importance of collaboration. They also discuss I…
  continue reading
 
Send us a text At SEMI ISS, Paul Triolo, Albright Stonebridge Group (ASG) delivered a presentation on the geopolitical headwinds impacting the semiconductor industry in 2024. He explains challenges of industrial policies, export controls, and supply chain perturbations. Triolo is Senior Vice President for China and Technology Policy Lead at ASG, wh…
  continue reading
 
Send us a text For the first few episodes of Season 4 of the 3D InCites Podcast, Françoise von Trapp headed to the SEMI Industry Strategy Symposium, (ISS) where semiconductor industry executives gather to gain and share insight on where the semiconductor industry is headed, and how they can work together to get there. Economic trends, industry mark…
  continue reading
 
Send us a text Once again, Cassandra Melvin, SEMI Europe, takes over the mike from Françoise von Trapp to lead a panel discussion on the Future of Work in the semiconductor industry. We join the panel discussion in progress, as it was part of a session on the Future of Work that took place in Munich during SEMICON Europa. You’ll hear from Flemming …
  continue reading
 
Loading …

Hướng dẫn sử dụng nhanh